Pascal and Francis Bibliographic Databases

Help

Search results

Your search

is.\*:("0894-6507")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 1431

  • Page / 58
Export

Selection :

  • and

A Survey of Yield Modeling and Yield Enhancement MethodsMILOR, Linda.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 2, pp 196-213, issn 0894-6507, 18 p.Article

Implementation of Nonthreaded Estimation for Run-to-Run Control of High Mix Semiconductor ManufacturingHARIRCHI, Farshad; VINCENT, Tyrone; SUBRAMANIAN, Anand et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 4, pp 516-528, issn 0894-6507, 13 p.Article

Improving Equipment Defectivity Specifications Through Chip Yield Modeling: A Case Study for Immersion LithographyBOOGAARD, Arjen; SMITH, Ian; MOURAILLE, Orion et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 3, pp 423-429, issn 0894-6507, 7 p.Article

Inline-Characterization and Step Coverage Optimization of Deposited Dielectrics in DRAM StructuresKRUPINSKI, Martin; KASIC, Alexander; HECHT, Thomas et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 2, pp 253-259, issn 0894-6507, 7 p.Article

Modeling of Deep Cavity Looping Process on 3-D Stacked Die PackageFULIANG WANG; YUN CHEN; LEI HAN et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 1, pp 169-175, issn 0894-6507, 7 p.Article

Run-to-Run Control Utilizing Virtual Metrology With Reliance IndexKAO, Chi-An; CHENG, Fan-Tien; WU, Wei-Ming et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 1, pp 69-81, issn 0894-6507, 13 p.Article

Tool Condition Diagnosis With a Recipe-Independent Hierarchical Monitoring SchemeBLUE, Jakey; GLEISPACH, Dietmar; ROUSSY, Agnes et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 1, pp 82-91, issn 0894-6507, 10 p.Article

A Self-Amplifying Four-Transistor MOSFET Mismatch Test StructureMCANDREW, Colin C; ZUNINO, Mike; BRASWELL, Brandt et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 3, pp 273-280, issn 0894-6507, 8 p.Conference Paper

Electrical Test Structure for the Measurement of Hermeticity in Electronic and MEMS Packages With Small Cavity VolumesCOSTELLO, S; DESMULLIEZ, M. P. Y; MCCRACKEN, S et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 3, pp 281-287, issn 0894-6507, 7 p.Conference Paper

Prediction and Control of Transistor Threshold Voltage by Virtual Metrology (Virtual PCM) using Equipment dataTANAKA, Tomoya; YASUDA, Satoshi.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 3, pp 339-343, issn 0894-6507, 5 p.Conference Paper

STI Crater Defect Reduction for Semiconductor Device Yield ImprovementLI LIANG; RAO XUE SONG; LU WEI et al.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 3, pp 335-338, issn 0894-6507, 4 p.Conference Paper

The Evolution of Pixel Structures for Consumer-Grade Image SensorsFONTAINE, Ray.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 1, pp 11-16, issn 0894-6507, 6 p.Conference Paper

Using Selective Voltage Binning to Maximize YieldLICHTENSTEIGER, Susan; BICKFORD, Jeanne Paulette.IEEE transactions on semiconductor manufacturing. 2013, Vol 26, Num 4, pp 436-441, issn 0894-6507, 6 p.Conference Paper

A Novel Design Flow for Dummy Fill Using Boolean Mask OperationsLUO, Tseng-Chin; CHAO, Mango C.-T; FISHER, Philip A et al.IEEE transactions on semiconductor manufacturing. 2012, Vol 25, Num 3, pp 468-479, issn 0894-6507, 12 p.Article

Lens Heating Induced Aberration Prediction via Nonlinear Kalman FiltersBIKCORA, Can; VAN VEELEN, Martijn; WEILAND, Siep et al.IEEE transactions on semiconductor manufacturing. 2012, Vol 25, Num 3, pp 384-393, issn 0894-6507, 10 p.Article

Semiconductor Manufacturing Process Monitoring Using Gaussian Mixture Model and Bayesian Method With Local and Nonlocal InformationJIANBO YU.IEEE transactions on semiconductor manufacturing. 2012, Vol 25, Num 3, pp 480-493, issn 0894-6507, 14 p.Article

A Simulation-Based Closed Queueing Network Approximation of Semiconductor Automated Material Handling SystemsGOVIND, Nirmal; ROEDER, Theresa M; SCHRUBEN, Lee W et al.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 1, pp 5-13, issn 0894-6507, 9 p.Article

An Efficient Simulation System for Inclined UV Lithography Processes of Thick SU-8 PhotoresistsZAIFA ZHOU; QINGAN HANG; ZHEN ZHU et al.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 2, pp 294-303, issn 0894-6507, 10 p.Article

Application of Generalized Linear Models to Predict Semiconductor Yield Using Defect Metrology DataKRUEGER, Dana C; MONTGOMERY, Douglas C; MASTRANGELO, Christina M et al.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 1, pp 44-58, issn 0894-6507, 15 p.Article

Automatic Data Quality Evaluation for the AVM SystemHUANG, Yi-Ting; CHENG, Fan-Tien.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 3, pp 445-454, issn 0894-6507, 10 p.Article

Benefit Model of Virtual Metrology and Integrating AVM into MESCHENG, Fan-Tien; CHANG, Jonathan Yung-Cheng; HUANG, Hsien-Cheng et al.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 2, pp 261-272, issn 0894-6507, 12 p.Article

Failure Criterion Setting for the Wafer Level Isothermal Electromigration TestCHUANG, Kun-Fu; JENNCHANG HWANG.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 1, pp 89-92, issn 0894-6507, 4 p.Article

Fault Detection Using Principal Components-Based Gaussian Mixture Model for Semiconductor Manufacturing ProcessesJIANBO YU.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 3, pp 432-444, issn 0894-6507, 13 p.Article

Physical Model for the Small-Scale Residual Topography in Chemical Mechanical PolishingURBACH, Jan-Peter.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 4, pp 559-565, issn 0894-6507, 7 p.Article

Post-Slicing Inspection of Silicon Wafers Using the HJ-PSO Algorithm Under Machine VisionSUN, Te-Hsiu; TANG, Chung-Hao; TIEN, Fang-Chih et al.IEEE transactions on semiconductor manufacturing. 2011, Vol 24, Num 1, pp 80-88, issn 0894-6507, 9 p.Article

  • Page / 58